Download 01 electronica.qxd

Document related concepts
no text concepts found
Transcript
01 electronica.qxd
11/5/09
11:44
Página 6
Unidad 4
6
1
Fundamentos
de electrónica digital
vamos a conocer...
1. Sistemas digitales y sistemas analógicos
2. Sistemas de numeración
3. Códigos binarios
4. Álgebra de Boole
5. Puertas lógicas
6. Circuitos realizados con puertas lógicas
7. Obtención de una función a partir de una
tabla de verdad
8. Resolución de problemas con puertas
lógicas
9. Simplificación de funciones.
Método de Karnaugh
10. Circuitos integrados digitales: generalidades
y tecnologías
PRÁCTICA PROFESIONAL
Montaje de un circuito con puertas lógicas
y comprobación de la función simplificada
MUNDO TÉCNICO
La sonda lógica
y al finalizar esta unidad...
Reconocerás los tipos de puertas lógicas
y la función que desempeñan en un circuito.
Distinguirás con precisión los valores de
tensión y corriente en los distintos niveles
lógicos.
Consultarás e interpretarás correctamente la
documentación técnica de las puertas lógicas.
Sabrás representar la tabla de verdad
correspondiente a un circuito con puertas
lógicas.
Expresarás correctamente las ecuaciones
canónicas en forma de minterms y maxterms.
Aplicarás correctamente las leyes del álgebra
de Boole.
Utilizarás con destreza los aparatos de medida
adecuados.
Analizarás las características más relevantes,
la tipología y procedimientos de uso
de los instrumentos de medida utilizados
en electrónica digital y microprogramable.
01 electronica.qxd
11/5/09
11:44
Página 7
Fundamentos de electrónica digital
7
CASO PRÁCTICO INICIAL
situación de partida
Miguel es Técnico en Instalaciones Eléctricas y Automáticas (IEA) y
lleva algún tiempo trabajando en la empresa donde comenzó su vida
laboral. Tras un periodo de prácticas en el que ayudaba a otros compañeros en los trabajos habituales, su jefe le ofreció un contrato para
quedarse en la empresa, y poco a poco va adquiriendo experiencia
en los diferentes trabajos que le encomiendan.
En cierta ocasión, a Miguel le encargan un trabajo en una empresa
cliente que consiste en la automatización de la apertura de la puerta de entrada a un garaje. Miguel se acerca a la empresa en cuestión y le comentan que la puerta ya se encuentra motorizada, y su
cierre se produce automáticamente al cabo de cierto tiempo. Lo que
desean es disponer de un control de apertura de la puerta, dependiendo de que existan vehículos, para entrar o salir del garaje.
Para que la puerta se abra y poder entrar, será necesario que el
vehículo se encuentre situado encima de una plancha con un sensor «a» situado en el suelo, y además que el conductor introduzca una llave magnética en una ranura «b» dispuesta al efecto.
Para salir, debe suceder lo mismo: el coche se situará encima de
la plancha con el sensor «c» y se debe introducir la llave en la
ranura «d». Siempre que un vehículo haya comenzado el proceso para entrar o salir una luz roja debe permanecer encendida
para avisar a otros conductores que algún vehículo ya está utilizando la puerta. Por último, se colocará un sensor «e» al lado de
la puerta, que avisará cuando algún vehículo se encuentre cruzando justo por ella, para evitar su cierre y el consiguiente deterioro de la carrocería del vehículo, aun cuando haya pasado el
tiempo estipulado para el cierre automático, y comience un nuevo ciclo de apertura de puerta.
Miguel, una vez comprendidas las necesidades del cliente, comienza
a diseñar un circuito de control digital con operadores lógicos, basado en el sistema de numeración binario. En primer lugar, dibuja una
tabla con filas y columnas (tabla de verdad), colocando los sensores
(variables de entrada) en la primera fila, viendo todas las posibilidades
que existen a la hora de pulsar cada uno, confirmando a continuación
si debe o no funcionar el motor de la puerta en cada caso, y si debe
encenderse la luz roja (variables de salida). Una vez anotadas en la tabla
todas las posibilidades, tal y como aprendió al estudiar el ciclo de Instalaciones Eléctricas y Automáticas (IEA), extrae las funciónes correspondientes al motor y la luz roja según las variables de entrada. Seguidamente intenta simplificar la expresión de cada función, para que el
resultado sea más sencillo. Para ello se basa en técnicas aprendidas,
como el álgebra de Boole y los mapas de Karnaugh. Finalmente dibuja el circuito correspondiente a la función con puertas lógicas integradas, obteniendo el esquema eléctrico que debe llevar a cabo.
En el taller de su empresa, Miguel monta el esquema obtenido, alimentando el circuito con la tensión requerida para los circuitos integrados (C.I.) digitales, simulando los sensores con interruptores y el
motor y la luz roja con diodos LED, comprobando que su diseño
corresponde a las necesidades del cliente. Una vez que está comprobado, realiza de manera definitiva el circuito de control correspondiente, y lo lleva al cliente para probarlo «in situ». Una vez montado y realizados los ajustes necesarios en los distintos sensores, comprueba que todo el trabajo previo de diseño y simulación en el taller
de su empresa ha dado sus frutos, pues el circuito funciona tal y
como estaba pensado, con lo que termina el trabajo mostrando al
cliente el funcionamiento del control solicitado.
estudio del caso
Antes de empezar a leer esta unidad de trabajo, puedes contestar algunas de estas preguntas. Después, analiza cada
punto del tema, con el objetivo de contestar al resto de las preguntas de este caso práctico.
1. ¿Qué es un operador lógico?
2. ¿Para qué se utiliza un sensor?
3. ¿Qué es el sistema de numeración binario? ¿En qué se diferencia del decimal?
4. ¿Cuántos tipos de puertas lógicas existen?
5. ¿Qué es el álgebra de Boole? ¿Dónde se usa?
6. ¿Qué es una tabla de verdad de una función lógica y para
qué se utiliza?
7. ¿Cómo se simplifican funciones lógicas? ¿Qué es un
mapa de Karnaugh?
8. ¿Qué circuito integrado (C.I.) se utiliza para realizar esquemas de circuitos digitales?
9. ¿Qué características tienen las puertas lógicas integradas?
¿Qué son las familias lógicas?
10. ¿Qué valor de tensión se necesita para trabajar con
C.I. digitales compuestos de puertas lógicas?
Documento de apoyo
En www.editex.es, en la ficha del libro, puedes consultar hojas de datos de componentes electrónicos y los recursos de la unidad .
01 electronica.qxd
11/5/09
11:44
Página 8
Unidad 1
8
1. Sistemas digitales y sistemas
analógicos
vocabulario
Sistema de numeración
Es un conjunto de símbolos que,
junto a unas reglas, permiten construir todos los números válidos en el
sistema. Las reglas son diferentes
para cada sistema de numeración,
pero una regla común a todos es
que solo se utilizan los símbolos permitidos (en binario el «0» y el «1»).
La identificación del sistema de
numeración se hace mediante un
subíndice a la derecha, con el número total de símbolos que tiene el sistema, por ejemplo: 57(16) es un
número en base hexadecimal, pero
el número A1K3(16) no lo es, pues el
símbolo K no es válido en base 16.
En nuestra vida diaria, estamos rodeados de equipos electrónicos. Todos ellos funcionan con señales eléctricas, ya sean analógicas o digitales. Hace años, cuando
todavía no se había creado el transistor (un componente fundamental para la revolución tecnológica), la electrónica no se dividía en estos dos grandes grupos; fue
precisamente la invención del transistor, su fabricación de manera extensiva y su
capacidad de integración, la que revolucionó y modernizó todos los equipos electrónicos de entonces, hasta llegar a los actuales, comenzando a considerar los
equipos digitales y su electrónica asociada con entidad suficiente como para poder separar la electrónica en dos partes bien diferenciadas: la electrónica analógica y la electrónica digital.
Aunque cada una de ellas tiene entidad por sí misma, no son excluyentes entre
sí, complementándose a la hora de diseñar bloques funcionales de los que están
formados muchos de los equipos actuales. Para diferenciar de una forma sencilla
cada una de las dos partes en que hemos dividido a la Electrónica, observaremos
una señal analógica y otra digital, ya que en electrónica, saber interpretar señales
es la base del aprendizaje.
a
Figura 1.1. Señal analógica.
a
Figura 1.2. Señal digital.
A la vista de las dos figuras, se puede apreciar cómo la señal de la figura 1.1
puede tomar infinitos valores (señal analógica), mientras que la señal de la figura 1.2 solo toma dos valores distintos (señal digital). Pues bien, existen en
el mercado componentes electrónicos que funcionan únicamente con dos valores distintos de señal. Estos componentes son denominados circuitos digitales integrados. Los valores de señal son denominados «0» y «1», comenzando
a partir de este momento a trabajar con el sistema de numeración binario, basado exclusivamente en unos y ceros. Al campo de la electrónica que estudia
dichos circuitos digitales integrados se le denomina Electrónica Digital, que
la diferencia así del resto de componentes electrónicos que se estudian en la
Electrónica Analógica.
2. Sistemas de numeración
Para poder contar, a lo largo de la historia las diferentes civilizaciones se han
servido de piedras, marcas, nudos o extremidades del cuerpo. El sistema de
numeración que utilizamos actualmente fue inventado por los indios y traído a Europa por los árabes; es el denominado Sistema de Numeración Decimal.
01 electronica.qxd
11/5/09
11:44
Página 9
Fundamentos de electrónica digital
9
2.1. Sistema decimal
Está basado en la utilización de 10 dígitos distintos: 0, 1, 2, 3, 4, 5, 6, 7, 8 y 9. Para
poder expresar cantidades superiores se realizan combinaciones con estos dígitos,
utilizando lo que se denominan decenas, centenas, etc. Este sistema de numeración es también conocido como base 10.
saber más
Si hablamos del número 457, por ejemplo, es fácilmente deducible que 457 = 400
+ 50 + 7. O dicho de otra forma: 457 = 4 · 102 + 5 · 101 + 7 · 100.
En los sistemas de numeración
ponderados o posicionales el valor
de un dígito depende a la vez, del
símbolo utilizado y de la posición
que ocupe en el número (columna). Sin embargo, en los sistemas
no-posicionales los dígitos tienen el
valor del símbolo utilizado, no
dependiendo de la posición que
ocupan en el número.
Esta segunda forma de expresar el número 457 nos indica que cada dígito (4, 5,
7) está multiplicado por la base (10) elevada al exponente cuyo valor representa
la posición de cada cifra (comenzando por la posición 0).
2.2. Sistema binario
Los sistemas de numeración pueden clasificarse en dos grandes grupos: posicionales y no-posicionales.
Este sistema se basa en la utilización de dos dígitos distintos: 0 y 1. La lógica digital está basada precisamente en estos dos dígitos binarios, denominados bit (binary digit).
Al igual que el sistema de numeración decimal es conocido como base 10, al sistema binario se le denomina base 2. Cualquier número expresado en binario constará exclusivamente de estos 2 dígitos, combinándolos en el orden adecuado.
2.3. Sistema hexadecimal
En este caso se usan 16 dígitos distintos: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E y
F (base 16). Se utiliza muchísimo para trabajar con números binarios excesivamente largos. Lo veremos más adelante.
2.4. Otros sistemas
Existen otros muchos sistemas de numeración, pero consideraremos los tres anteriores como los más interesantes a la hora de trabajar en electrónica digital. Hasta hace pocos años, también se usaba el sistema de numeración octal (base 8),
pero actualmente está obsoleto; se puede trabajar en cualquier base (3, 5, 7, etc.),
pero no son relevantes para el tema que nos ocupa.
2.5. Cambios de base
Hasta ahora distinguimos bien entre los sistemas de numeración principales pero,
¿cómo expresamos un número decimal en binario? ¿Se puede expresar cualquier número hexadecimal en su equivalente decimal? ¿Qué relación existe entre los distintos sistemas de numeración? En los siguientes apartados iremos dando respuesta a todas estas preguntas, ya que es básico su conocimiento para trabajar en la lógica digital.
Convertir un número de cualquier base a base 10 (decimal)
Como habitualmente manejamos el sistema decimal, es muy conveniente conocer el procedimiento para transformar cualquier número representado en otra base
cualquiera a base diez. Para realizarlo hay que multiplicar cada dígito por el número que representa a la base, elevada al exponente cuyo valor representa la posición de cada cifra, comenzando por la posición de valor más bajo (derecha), a
dicha posición se le asigna el exponente «0».
saber más
Hay otros muchos sistemas de
numeración como los sistemas
vigesimales (de base 20, dedos de
manos y pies) que fueron muy
corrientes en la Antigüedad, y todavía perviven en idiomas como el
francés (donde 80 se llama quatrevingts). Los sistemas ternarios
(base 3), fueron empleados por tribus que usaban las tres articulaciones de las falanges de los dedos
para contar. Otras, empleaban los
huecos que hay entre los dedos de
la mano, trabajando en base 4.
Pero los sistemas de numeración
que alcanzaron mayor difusión fueron los quinarios, que empleaban
el 5 como base. Una posible explicación es la facilidad para contar
con los 5 dedos de la mano.
01 electronica.qxd
11/5/09
11:44
Página 10
Unidad 1
10
recuerda
EJEMPLO
Dado un número en cualquier
base, el dígito de la derecha es el
de menor peso, y el de la izquierda
es el de mayor peso, al igual que
sucede con las unidades, decenas,
centenas, etc., en el sistema de
numeración decimal.
Convierte el número 1001011 de base binaria a base decimal.
Solución:
1001011(base 2) = 1 · 26 + 0 · 25 + 0 · 24 + 1 · 23 + 0 · 22 + 1 · 21 + 1 · 20 =
= 64 + 8 + 2 + 1 = 75(base 10)
Pasar un número de base 10 a cualquier base
saber más
Para pasar de una base cualquiera
(por ejemplo base 3) a otra base
(por ejemplo base 7), hay que pasar
necesariamente por el paso intermedio de la base 10, es decir, pasar
de base 3 a base 10, y el resultado
en base 10 pasarlo a base 7.
La conversión inversa a la anterior, tiene un procedimiento muy diferente. Se
toma el número en base 10 como dividendo, y la base a la que se quiere pasar
como divisor, y se realizan divisiones sucesivas hasta que el dividendo sea menor
que el divisor. Llegados a este punto, se toma el último cociente y los restos que
se han ido sucediendo en las divisiones anteriores para formar el número en cuestión. El primer resto es el primer bit, que se corresponde con el de menor peso (último dígito a la derecha).
EJEMPLO
Convierte el número 75 de base decimal a base binario.
Base 10
Base 2
Base 16
0
0000
0
1
0001
1
2
0010
2
3
0011
3
4
0100
4
5
0101
5
6
0110
6
7
0111
7
8
1000
8
9
1001
9
10
1010
A
11
1011
B
12
1100
C
13
1101
D
14
1110
E
15
1111
F
Solución:
Bit de
menor peso
75
15
1
2
37
17
1
2
18
2
9
0
1
2
4
2
2
0
75(10) = 1001011(2)
0
2
1
2.6. Tabla resumen entre base 10, base 2 y base 16
La tabla adjunta es muy útil, pues relaciona los números del 0 al 15 de las tres bases con las que estamos trabajando hasta ahora. Se realiza con las dieciséis combinaciones básicas del binario, cuya utilización es muy frecuente, por lo que se recomienda su estudio y memorización.
ACTIVIDADES
1. Convierte los siguientes números decimales a binario: a) 12; b) 25; c) 47.
2. Convierte los siguientes números binarios a base 10 (o base decimal): a) 10111; b) 1100110; c) 101101.
3. Convierte los siguientes números decimales a base 16 (base hexadecimal): a) 125; b) 438; c) 625.
4. Convierte los siguientes números hexadecimales a base 10: a) 1F3; b) A3C; c) 34B.
01 electronica.qxd
11/5/09
11:44
Página 11
Fundamentos de electrónica digital
11
3. Códigos binarios
Un código binario es la representación en «1» y «0» de cualquier número decimal. Hasta ahora solo conocemos el binario natural, pero existen otros muchos
códigos, destacando los que se comentan a continuación.
3.1. Código Gray
Este código es típico de los mapas de Karnaugh que veremos más adelante. Para
reproducirlo se sigue el método denominado de «espejo», que consiste en ir reflejando las diferentes combinaciones binarias cada: 2, 4, 8, 16… posiciones (2n), a
partir de una línea horizontal, como si fuera un espejo. Una vez que se colocan
las primeras combinaciones, se repiten por debajo de la línea («espejo»), pero invertidas en su posición vertical. Para entender mejor el desarrollo vamos a comenzar con el código Gray de dos dígitos, colocando los dos dígitos binarios uno debajo del otro, luego se coloca una línea horizontal y se reflejan, quedando ahora
el «1» encima del «0», para completar el código se pone un «0» a la izquierda de
los dos primeros dígitos y un «1» en los otros dos reflejados, resultando las cuatro
combinaciones mostradas en la tabla adjunta.
Es muy importante resaltar que este código tiene la particularidad de ser continuo (de un número al siguiente, su codificación solo difiere en un bit), con
adyacencia cíclica, y ser un código reflejado, por eso se construye reflejando
las combinaciones existentes, pudiendo construir el código hasta el número
que se desee.
Si desarrollamos el código Gray de tres dígitos, mostrado en la tabla adjunta, primero se colocan las cuatro primeras combinaciones que hemos obtenido anteriormente para dos dígitos y se reflejan por debajo de la línea «espejo», completando como en el caso anterior con un «0» en las cuatro combinaciones superiores
y con un «1» en las inferiores.
CÓDIGO GRAY DE 2 DÍGITOS
CÓDIGO GRAY DE 3 DÍGITOS
Decimal
Decimal
Gray
Gray
0
00
0
0 00
1
01
1
0 01
2
11
2
0 11
3
10
3
0 10
4
1 10
5
1 11
5
1 01
7
1 00
3.2. Códigos BCD
Del inglés «Binary Code Decimal», son códigos binarios que únicamente tienen 10 combinaciones, desde el 0 al 9, para poder representar cualquier cifra
decimal de una manera más cómoda, sustituyendo cada cifra decimal por la
correspondiente al código BCD en cuestión. Existen ponderados y no ponderados.
vocabulario
Español-Inglés
Alfanumérico: alphanumeric.
Álgebra de Boole: boolean algebra.
Circuito integrado (C.I.): integrated
circuit (I.C.).
Circuito integrado digital (C.I.D.):
digital integrated circuit (D.I.C.).
Código: code.
Código Gray: Gray code.
Conmutador: switch.
Decimal codificado en binario:
binary coded decimal (BCD).
Lógica positiva: positive logic.
Nivel lógico: logic level.
Número binario: binary number.
Puerta lógica: logic gate.
Salida digital: digital output.
Símbolo: symbol.
Sonda lógica: logic probe.
Tabla de verdad: truth table.
01 electronica.qxd
11/5/09
11:44
Página 12
Unidad 1
12
a) Ponderados
saber más
No es lo mismo binario que BCD
natural. Hay que tener cuidado en
distinguirlos: el número 17, representado en binario es 10001, mientras que representado en BCD
natural es: 0001 0111.
Son códigos con peso (la posición tiene un valor). Cada bit tiene un peso constante debido al lugar que ocupa. El número decimal que representa se obtiene de
las sumas de los productos de cada bit por su peso. Ejemplos de códigos BCD ponderados son el BCD natural y el BCD AIKEN.
b) No ponderados
Son códigos cuyos bits no tienen un peso constante con el que poder obtener el valor final del dígito decimal que representa. Un ejemplo típico es el BCD exceso-3.
PONDERADOS
DECIMAL
0
1
2
3
4
5
6
7
8
9
BCD natural
8
0
0
0
0
0
0
0
0
1
1
4
0
0
0
0
1
1
1
1
0
0
2
0
0
1
1
0
0
1
1
0
0
NO PONDERADO
BCD AIKEN
1
0
1
0
1
0
1
0
1
0
1
2
0
0
0
0
0
1
1
1
1
1
4
0
0
0
0
1
0
1
1
1
1
2
0
0
1
1
0
1
0
0
1
1
BCD
exceso-3
1
0
1
0
1
0
1
0
1
0
1
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
EJEMPLO
Rellena la siguiente tabla expresando los diferentes números decimales
en código BCD natural, BCD AIKEN y BCD exceso-3.
Solución:
Decimal
BCD natural
BCD Aiken
BCD exceso-3
10
0001, 0000
0001, 0000
0100, 0011
25
0010, 0101
0010, 1011
0101, 1000
47
0100, 0111
0100, 1101
0111, 1010
58
0101, 1000
1011, 1110
1000, 1011
476
0100, 0111, 0110
0100, 1101, 1100
0111, 1010, 1001
Nota: se ha puesto una coma entre cada dígito para mejorar su comprensión.
ACTIVIDADES
5. Representa los números 285 y 394, en BCD natural, BCD AIKEN y BCD exceso-3.
6. Representa el número 13 en código Gray.
7. ¿Cómo se puede escribir el símbolo @ en el ordenador si el teclado no está bien configurado, ayudándonos
del código ASCII? NOTA: consulta el código ASCII dentro de los recursos proporcionados para la unidad en
www.editex.es.
01 electronica.qxd
11/5/09
11:44
Página 13
Fundamentos de electrónica digital
13
3.3. Códigos alfanuméricos
El código alfanumérico más conocido es el código ASCII (American Standard
Code for Information Interchange) es el utilizado para representar todos los
números y letras del alfabeto, así como símbolos, caracteres especiales y órdenes de control para periféricos en los PC. Existe el código ASCII de 7 bits (27
= 128 combinaciones), y el código ASCII extendido de 8 bits (28 = 256 combinaciones).
recuerda
Los códigos ASCII extendidos, del 128 al 255, se asignan a conjuntos de caracteres que varían según los fabricantes de ordenadores y programadores de software. Estos códigos no son intercambiables entre los diferentes programas y ordenadores como los caracteres ASCII estándar. Por ejemplo, IBM utiliza un grupo de
caracteres ASCII extendido que suele denominarse conjunto de caracteres IBM
extendido para sus ordenadores personales. Apple Computer utiliza un grupo similar, aunque diferente, de caracteres ASCII extendido para su línea de ordenadores Macintosh. Por ello, mientras que el conjunto de caracteres ASCII estándar es universal en el hardware y el software de los microordenadores, los caracteres
ASCII extendido pueden interpretarse correctamente solo si un programa, ordenador o impresora han sido diseñados para ello.
saber más
En www.editex.es se facilita el código ASCII (0-127) en el archivo:
«Codigos Alfanumericos-ASCII.pdf»,
dentro de los recursos de la unidad.
En los ordenadores personales es
muy útil disponer de una tabla con
los códigos ASCII correspondientes,
por si el teclado no está bien configurado para nuestro idioma, y algún
símbolo especial no se muestra
correctamente. En ese caso, se accede al código ASCII pulsando la tecla
<Alt> del teclado más el número del
símbolo que queramos mostrar (utilizando el teclado numérico).
4. Álgebra de Boole
Se denomina así en honor al matemático británico del siglo XIX George Boole
(1815-1864), que definió las operaciones que se podían realizar con la lógica combinacional, y los teoremas resultantes, todos realizados a partir del valor lógico
«1» y el valor lógico «0» que pueden tomar las entradas y salidas.
Definición y postulados del álgebra de Boole
Boole definió la operación suma lógica «+» (no confundir con suma aritmética)
y producto lógico «·», y las propiedades que cumplían sus elementos.
Propiedad conmutativa
Aplicable a la operación suma lógica y producto lógico, se resume en estas dos
igualdades: a + b = b + a; a · b = b · a
Propiedad asociativa
Respecto de las dos operaciones suma y producto:
a + (b + c) = (a + b) + c; a · (b · c) = (a · b) · c
Elemento neutro
Respecto de la suma, el elemento neutro es el «0» : a + 0 = a
Respecto del producto, el elemento neutro es el «1»: a · 1 = a
Propiedad distributiva
Respecto de las dos operaciones suma y producto:
a · (b + c) = a · b + a · c; a + (b · c) = (a + b) · (a + c)
caso práctico inicial
En la actualidad, el álgebra de Boole se usa de forma generalizada en
el diseño de circuitos electrónicos
digitales. El primer científico que
aplicó el álgebra de Boole en el
diseño de circuitos de conmutación
eléctrica biestables, fue Claude
Shannon en 1938.
01 electronica.qxd
11/5/09
11:44
Página 14
Unidad 1
14
Elemento simétrico o complementario
El elemento simétrico de una variable se representa con un segmento encima de
la letra y se cumple que: a + a– = 1, y a · a– = 0
Estas propiedades se pueden demostrar de manera práctica con interruptores, definiendo la operación suma como interruptores en paralelo, y la operación producto como interruptores en serie.
a) Conmutativa
b
a
a
b
a
Figura 1.3. Propiedad conmutativa respecto de la suma: a + b = b + a
a
a
b
b
a
Figura 1.4. Propiedad conmutativa respecto del producto: a · b = b · a
b) Elemento neutro
El «1» equivale al interruptor cerrado, y el «0» al interruptor abierto.
a
a
“1”
“0”
a
Figura 1.5. Elemento neutro: a + 0 = a, a · 1 = a
c) Distributiva
b
a
b
c
a
c
a
a
Figura 1.6. Propiedad distributiva respecto de la suma: a · (b + c) = a · b + a · c
01 electronica.qxd
11/5/09
11:44
Página 15
Fundamentos de electrónica digital
15
solidarios
a
a
b
a
c
a
b
c
Figura 1.7. Propiedad distributiva del producto: a + (b · c) = (a + b) · (a + c)
d) Elemento complementario
Dado un interruptor, si este se encuentra cerrado, el complementario está abierto, y viceversa.
a
a
a
a
a
Figura 1.8. Elemento complementario: a + a– = 1, a · a– = 0
Teoremas del álgebra de Boole
A partir de las propiedades o postulados descritos, se deducen distintos teoremas, que
junto a las igualdades anteriores, pueden quedar resumidos en la siguiente tabla:
PROPIEDADES, POSTULADOS Y TEOREMAS MÁS IMPORTANTES DEL ÁLGEBRA DE BOOLE
a+0=a
a+1=1
a+a=a
a + a– = 1
a·1=a
a·0=0
a·a=a
a · a– = 0
Postulados
Ley de absorción
a + (a · b) = a
a · (a + b) = a
Propiedad conmutativa
a+b=b+a
a·b=b·a
Propiedad asociativa
a + (b + c) = (a + b) + c
a · (b · c) = (a · b) · c
a + (b · c) = (a + b) · (a + c)
a · (b + c) = (a · b) + (a · c)
a + a– · b = a + b
a · (a– + b) = a · b
Propiedad distributiva
Doble complemento
(ley de involución)
Ley de dualidad
Leyes de De Morgan
–
El doble complemento de una variable nos da esa misma variable: a– = a
Dada cualquier expresión, se obtiene la expresión dual simplemente cambiando el símbolo
producto por el de suma lógica y los «0» por «1»,
y viceversa.
–
–
–
–
a + b = a– · b
a · b = a– + b
Todas estas expresiones se comienzan a realizar no con interruptores, sino con
operadores lógicos denominados puertas lógicas. Las expresiones realizadas con
puertas lógicas guardan una correspondencia directa con un cuadro denominado
tabla de verdad, donde se representan con valores «0» y «1» todas las combinaciones posibles de entrada y su correspondiente valor en la salida.
01 electronica.qxd
11/5/09
11:44
Página 16
Unidad 1
16
5. Puertas lógicas
caso práctico inicial
En la tabla de verdad de una función lógica se representan todas las
combinaciones posibles de las variables de entrada, en binario natural,
y el resultado de operar la función
lógica para cada combinación.
Son operadores lógicos que nos permiten realizar las operaciones del álgebra de
Boole. Se encuentran dentro de circuitos integrados denominados SSI (Short Scale Integration), llamados así porque integran dentro hasta 10 puertas lógicas. Las
puertas lógicas básicas son:
a) Puerta inversora. Puerta NOT (operación NO)
s = a–
1
0
a
0
1
a
a
1
Símbolo CEI o DIN
a
a
a
Símbolo ANSI o MIL
Figura 1.9. Tabla de verdad y símbolo del inversor o puerta NOT.
b) Puerta sumadora. Puerta OR (operación O)
a
b
s=a+b
0
0
1
1
0
1
0
1
0
1
1
1
a
a
s=a+b
≥1
b
s=a+b
b
Símbolo CEI o DIN
a
Símbolo ANSI o MIL
Figura 1.10. Tabla de verdad y símbolo de la puerta OR.
c) Puerta multiplicadora. Puerta AND (operación Y)
a
0
0
1
1
b
s=a·b
0
1
0
1
0
0
0
1
a
a
s=a⋅b
b
&
b
Símbolo CEI o DIN
a
s=a⋅b
Símbolo ANSI o MIL
Figura 1.11. Tabla de verdad y símbolo de la puerta AND.
d) Puerta NOR (operación NO-O)
a
b
–
s = a+ b
0
0
1
1
0
1
0
1
1
0
0
0
a
a
b
s=a+b
≥1
s=a+b
b
Símbolo CEI o DIN
a
Figura 1.12. Tabla de verdad y símbolo de la puerta NOR.
Símbolo ANSI o MIL
01 electronica.qxd
11/5/09
11:44
Página 17
Fundamentos de electrónica digital
17
e) Puerta NAND (operación NO-Y)
a
a
s=a⋅b
b
b
Símbolo CEI o DIN
a
s=a⋅b
&
a
b
–
s=a ·b
0
0
1
1
0
1
0
1
1
1
1
0
a
b
s=a⊕b
0
0
1
1
0
1
0
1
0
1
1
0
Símbolo ANSI o MIL
Figura 1.13. Tabla de verdad y símbolo de la puerta NAND.
f) Puerta OR-exclusiva (EXOR o XOR)
a
a
s=a⊕b
b
s=a⊕b
=1
b
Símbolo CEI o DIN
a
Símbolo ANSI o MIL
Figura 1.14. Tabla de verdad y símbolo de la puerta OR-exclusiva.
Seguidamente se presentan algunos de los integrados que disponen de estas puertas, así como su patillaje, en tecnología TTL (familia 74XX) y en tecnología
CMOS (familia 40XX).
CMOS: 4001 NOR
VDD
VDD
14 13 12 11 10 9
1
CMOS: 4011 NAND
2
3
4
5
6
VDD
8
14 13 12 11 10 9
7
1
2
3
4
5
6
VSS
CMOS: 4071 OR
14 13 12 11 10 9
1
1
2
3
4
5
6
14 13 12 11 10 9
7
1
14 13 12 11 10 9
2
3
4
5
6
2
3
4
5
6
4
5
6
14 13 12 11 10 9
7
1
TTL: 7400 NAND
1
2
3
4
5
6
7
1
2
3
4
5
6
5
6
7
VSS
TTL: 7402 NOR
14 13 12 11 10 9
7
1
2
3
4
5
6
GND
TTL: 7432 OR
8
7
GND
TTL: 7486 EXOR
VCC
8
14 13 12 11 10 9
7
1
GND
4
8
VCC
7
3
8
VCC
14 13 12 11 10 9
TTL: 7408 AND
14 13 12 11 10 9
2
VSS
VSS
8
GND
3
8
VCC
VCC
2
8
VCC
8
TTL: 7404 NOT
a
7
VSS
VSS
1
14 13 12 11 10 9
CMOS: 4081 AND
CMOS: 4070 EXOR
VDD
8
VDD
VDD
CMOS: 4069 NOT
2
3
4
5
6
8
14 13 12 11 10 9
7
1
GND
Figura 1.15. C.I. CMOS y TTL.
En www.editex.es, en la ficha del libro, se facilitan las hojas de fabricante de todos estos circuitos integrados digitales.
2
3
4
5
6
8
7
GND
01 electronica.qxd
11/5/09
11:44
Página 18
Unidad 1
18
6. Circuitos realizados con puertas
lógicas
Una expresión del álgebra de Boole, por ejemplo: f = a · b + a– · c, se puede implementar mediante puertas lógicas. Sólo hay que dibujar el esquema que corresponde a la expresión dada, y montarlo en una placa de pruebas con los integrados correspondientes (figura 1.16).
De igual forma, se puede obtener la expresión de la función que corresponde a un
circuito, a partir de su esquema (figura 1.17).
a
b
a
c
b
c
a·b
f=a·b+a·c
S
a·c
a
Figura 1.16. Circuito con puertas lógicas.
a
–
Figura 1.17. Función de salida f = a– · b + b · c
A veces, para simplificar los dibujos, los esquemas se reducen:
a
a·b
b
a
a
a·b
b
Figura 1.18. Esquemas equivalentes.
ACTIVIDADES
8. Construye mediante puertas
– lógicas el circuito correspondiente a las siguientes funciones, realizando su tabla de verdad: a) F1 = a + b + a– · b. b) F1 = (a · b · c– + a– · c) · d.
9. Realiza con puertas lógicas la siguiente función, realizando previamente su tabla de verdad:
f = a · b + a · c– + a– · b.
10. Comprueba las leyes de De Morgan con las puertas lógicas necesarias.
11. Monta en el entrenador del aula taller los circuitos integrados 7400, 7402, 7404, 7408, 7432, 7486, y comprueba sus tablas de verdad.
01 electronica.qxd
11/5/09
11:44
Página 19
Fundamentos de electrónica digital
19
7. Obtención de una función a partir
de una tabla de verdad
Una tabla de verdad, tal y como hemos visto al hablar de las puertas lógicas, es
una representación de una función de un álgebra de Boole donde se indican todas las posibles combinaciones de las variables de entrada y los valores que adopta la función para cada una de esas combinaciones; veamos un ejemplo:
TABLA DE VERDAD DE f
Variables
de entrada
Variable
de
salida
Expresión de
salida
a
b
c
f(salida)
f(salida)
0
0
0
0
0
0
1
0
a+b+c
a + b + –c
0
1
0
1
0
1
1
0
–
fmín = a– · b · –c + a · b · –c + a · b · –c
1
0
0
1
1
0
1
0
A esta forma de representar la función f se la conoce como suma de productos
o minitérminos.
1
1
0
1
1
1
1
0
Para obtener la función de salida correspondiente a la tabla de la derecha, podemos hacerlo de dos formas: eligiendo los «1» o los «0» de la salida.
a) Si nos fijamos en los «1», la función será la suma de las combinaciones de las
variables de entrada en donde la función valga «1». Cada uno de estos sumandos será el producto de las variables correspondientes a la combinación, asignando al valor «1» la variable directa y al valor «0» la variable negada:
a– · b · –c
–
a + b + –c
–
a · b · –c
a– + b + –c
a · b · –c
–
a– + b + –c
b) Si nos fijamos en los «0», la función será el producto de todas las combinaciones donde la función valga «0». Cada uno de estos productos será la suma de
las correspondientes variables de entrada asignando al valor «0» la variable directa y al valor «1» la variable negada:
–
–
fmax = (a + b + c) · (a + b + –c ) · (a + b + –c ) · (a– + b + –c ) · (a– + b + –c )
A esta forma de representar la función f se la conoce como productos de sumas o maxitérminos.
Según esta doble propuesta, al montar el circuito contamos con dos expresiones
distintas que darán lugar a dos circuitos digitales diferentes, que siempre coincidirán con una única tabla de verdad, por lo tanto, cualquiera de las dos soluciones es válida. A la primera expresión, que se basa en la observación de los «1» en
la salida, se le denomina función en forma de minitérminos o minterms, y a la segunda, maxitérminos o maxterms. A estas dos formas de obtener la función a partir de una tabla de verdad, se les denomina formas canónicas de la función.
saber más
Las formas canónicas de minitérminos se pueden expresar con el signo matemático de sumatorio, indicando en su parte inferior el nº de
variables y entre paréntesis, el valor
decimal de las combinaciones que
dan un «1» en la salida. Por ejemplo, para la tabla de verdad anterior: f = ∑ (2, 4, 6)
3
ACTIVIDADES
12. Extrae la función f1 correspondiente a la siguiente tabla de verdad, expresando
sus formas canónicas de minitérminos y maxitérminos.
13. Extrae la función f2 correspondiente a la siguiente tabla de verdad. Compara las
formas canónicas obtenidas con las sacadas en la actividad anterior.
–
–
14. A partir de la forma canónica de minterms: f = a · b · –c + a · b · c + a– · b · –c,
obtén la tabla de verdad de la función.
min
a
0
0
0
0
1
1
1
1
b
0
0
1
1
0
0
1
1
c
0
1
0
1
0
1
0
1
f1
f2
0
0
0
1
0
1
0
1
1
1
1
0
1
0
1
0
01 electronica.qxd
11/5/09
11:44
Página 20
Unidad 1
20
8. Resolución de problemas
con puertas lógicas
Una de las aplicaciones más comunes de la utilización de la lógica combinacional es en la resolución de problemas de diversa naturaleza. A partir de un enunciado, se obtiene la tabla de verdad, colocando un «1» en la salida para las condiciones en donde se cumple lo solicitado, posteriormente se sacan las formas
canónicas y finalmente se implementa con C.I. digitales, utilizando tecnología
CMOS o TTL. Veamos un ejemplo de funcionamiento de un motor con tres interruptores:
EJEMPLO
Se desea controlar el funcionamiento de un motor por medio de tres interruptores, a, b y c, de manera que el motor funcione cuando se activen dos
pulsadores a la vez, sean los que sean, y también cuando se activen los tres
a la vez.
Solución:
Lo primero que hay que hacer es construir la tabla de verdad, y colocar un «1»
en la salida correspondiente a las combinaciones en donde se cumpla lo que
pide el problema:
–
f = a– · b · c + a · b · c + a · b · –c + a · b · c,
en minitérminos. O bien,
–
f = (a + b + c) · (a + b + –c ) · (a · b + c)
(a– + b + c), en maxitérminos
a
b
c
f(salida)
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
0
0
1
0
1
1
1
ACTIVIDADES
15. Se desea controlar un motor «M» y una lámpara «L», por medio de los contactos de tres interruptores a, b
y c, de forma que se cumplan las siguientes condiciones:
a) Si a está pulsado y los otros dos no, se activa M, quedando la lámpara apagada.
b) Si dos interruptores están pulsados sean los que sean, funcionan M y L.
c) Si los tres interruptores están pulsados, no funciona M ni tampoco L.
En las demás condiciones no mencionadas, ni M ni L funcionan.
Realiza la tabla de verdad del circuito, extrae las funciones relativas al motor y la lámpara, y dibuja el circuito
de cada uno de ellos.
16. Una máquina cepilladora de carpintería funciona por medio de dos interruptores, a y b, de tal forma que cuando a o b están pulsados, el motor de la máquina se pone en marcha. La máquina dispone también de un interruptor de seguridad denominado c, activado por el pie, de manera que es obligatorio tenerlo pisado para
que la máquina funcione, dejando de funcionar en caso de que deje de pisarse. Construye la tabla de verdad
y extrae la función que controla el trabajo de la máquina, así como su esquema.
01 electronica.qxd
11/5/09
11:44
Página 21
Fundamentos de electrónica digital
21
9. Simplificación de funciones.
Método de Karnaugh
En el diseño de circuitos digitales, es de suma importancia simplificar lo máximo
posible las soluciones obtenidas a partir de una tabla de verdad. Por un lado, se
empleará menos tiempo al realizarlas prácticamente, y por otro, se rebajan costes
al montar un circuito con menos componentes o chips.
Existen dos métodos bien diferenciados a la hora de simplificar funciones digitales: el primero es un método gráfico conocido con el nombre de mapas o
tablas de Karnaugh y el otro es el denominado como numérico o matemático
de Quine-McCluskey. Desarrollaremos únicamente el método de Karnaugh,
dejando el método matemático para el desarrollo de programas de ordenador
que lo utilizan.
9.1. Mapas de Karnaugh
El método gráfico de Karnaugh es un sistema sencillo para simplificar funciones
de hasta cuatro variables de una forma visual. Aunque también es válido para cinco o seis variables, las dificultades que ofrecen son más que las ventajas que se obtienen.
Para su aplicación es necesario construir un cuadrilátero (rectángulo o cuadrado), formado a su vez por cuadrados o celdas, cada uno de los cuales representa una combinación de la tabla de verdad que se piensa simplificar. En cada
celda del cuadrado se coloca un «0» o un «1» dependiendo de la tabla de verdad.
En la siguiente figura se muestran los gráficos para simplificar funciones de dos,
tres y cuatro variables respectivamente. Los más utilizados son los de tres y cuatro variables.
ab
cd
00
01
11
caso práctico inicial
En 1952 E. W. Veitch definió un
método gráfico para simplificar
funciones booleanas, basándose en
un diagrama propuesto anteriormente por el arqueólogo inglés
A. Marquand. Posteriormente, el
ingeniero de telecomunicaciones
estadounidense Maurice Karnaugh,
completó el método de Veitch en
1953, cuando trabajaba en los
laboratorios Bell. La ventaja del
método de Karnaugh se basa en la
capacidad del cerebro humano para
trabajar mejor con formas gráficas
que con ecuaciones.
10
00
a
b
0
1
c
ab
00
01
11
10
01
0
0
11
1
1
10
Figura 1.19. Mapas de Karnaugh para 2, 3 y 4 variables.
saber más
En la zona superior e izquierda de cada cuadrado, se colocan las variables de entrada, de manera que puedan aparecer todas las combinaciones de la tabla de verdad. Es muy importante la colocación de las combinaciones, para que entre una
y la siguiente solo cambie el valor de una variable (adyacencia algebraica), por eso
se utiliza el código Gray (00, 01, 11, 10).
Debido a las dificultades del método gráfico de Karnaugh para resolver funciones de más de cinco variables, Willard van Orman Quine definió un algoritmo de minimización
lógica para ordenadores en 1955,
que fue modificado un año más tarde por Edward J. McCluskey; por
eso el método numérico se le conoce como de Quine-McCluskey.
Para simplificar una función primeramente se decidirá si interesa simplificar fijándose en los minitérminos (combinaciones donde la salida vale «1») o en los
maxitérminos (combinaciones donde la salida vale «0»).
01 electronica.qxd
11/5/09
11:44
Página 22
Unidad 1
22
Si se decide trabajar con minitérminos:
• Se colocarán los «1» en cada cuadro que corresponda según la función de salida que se quiere simplificar.
• A continuación, se agrupan los «1» en bloques de 2, 4, 8 o 16 (siempre potencias de 2). Los grupos que se formen deben estar en casillas adyacentes horizontal o verticalmente. Nunca en diagonal. Lo que se pretende es realizar el mínimo número de grupos con los grupos más grandes posibles, tomando todos
los «1» del mapa.
• A cada grupo le corresponde un término. De cada grupo solo permanecen las
variables que mantengan su valor constante («1» o «0») en ese grupo, eliminando las otras. Para obtener la expresión resultante, la variable que valga «1»
se escribirá de forma directa, y la variable que valga «0» se escribirá negada.
• El resultado final es la suma de todos los términos.
Si se decide trabajar con maxitérminos, el procedimiento es análogo a los pasos
anteriores, solo que en la redacción hay que cambiar «1» por «0» y multiplicación
por suma.
EJEMPLO
Simplifica mediante el método de Karnaugh el resultado correspondiente a la tabla de verdad del ejemplo del punto 8:
Solución:
Sabemos del punto anterior, que la solución, en minitérminos es:
–
f = a– · b · c + a · b · c + a · b · –c + a · b · c,
Si queremos simplificar el resultado, para obtener otra función que obtenga
la misma salida con menos puertas, realizamos el mapa de Karnaugh. Lo primero, es colocar los «1» de la salida en el cuadro que les corresponda.
ab
00
c
01
0
1
11
10
1
1
1
1
Figura 1.20. Mapa de Karnaugh según los minitérminos.
A continuación, se agrupan los «1» en bloques de dos (en este caso, no se
puede agrupar en ningún bloque de cuatro). Vemos que tenemos tres grupos
de dos. El resultado de cada grupo es:
Grupo 1: a · b, ya que está compuesto por la combinación a · b · –c + a · b ·
c (Son constantes a y b)
Grupo 2: b · c
Grupo 3: a · c
Por lo tanto, el resultado final es: f = a · b + b · c + a · c, que, como se puede observar, es un resultado más simplificado y fácil de montar que el inicial
puesto en minitérminos.
01 electronica.qxd
11/5/09
11:44
Página 23
Fundamentos de electrónica digital
23
9.2. Función incompleta
En algunos casos, al resolver un problema, nos puede suceder que algunas combinaciones de la tabla nos resulten indiferentes, bien porque nos da igual el valor
final que adquieran, bien porque son combinaciones que en la realidad nunca se
van a dar (por ejemplo, que en un montacargas se pulse a la vez un sensor de posición de la planta baja y la alta). En ese caso, las combinaciones que nos resulten indiferentes, tendrán una «X» en la salida. La función así creada es denominada «incompleta».
Para realizar la simplificación por Karnaugh, se procede de manera habitual, pero
en este caso se escriben en cada celda los «1» y también las «X». A la hora de simplificar, realizaremos los grupos tomando los «1» y las «X» que nos interesen, sabiendo que si alguna «X» se queda sin formar grupo no importa. Es decir, decidimos cuáles «X» pasan a ser consideradas como «1» (porque nos interese formar
un grupo más grande, y así simplificar), y cuáles como «0».
EJEMPLO
Simplifica mediante el método de Karnaugh el resultado correspondiente a la tabla de verdad adjunta:
Solución:
ab
00
01
11
10
00
x
x
x
1
01
1
11
1
10
x
cd
x
1
1
1
f=c·d+a·b+a·d+b·c
Figura 1.21. Mapa de Karnaugh según los minitérminos.
abcd
f
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111
X
1
X
1
X
0
1
0
1
0
1
1
X
X
0
0
ACTIVIDADES
17. Simplifica la función correspondiente a las siguientes tablas
de verdad, utilizando el método gráfico del mapa de Karnaugh, y comprueba en la práctica los circuitos resultantes,
eligiendo los circuitos integrados digitales TTL o CMOS necesarios y verifica las tablas de verdad.
TABLA DE
VERDAD (a)
TABLA DE
VERDAD (b)
abc
f1
abc
f1
000
001
010
011
100
101
110
111
1
0
0
0
1
1
0
0
000
001
010
011
100
101
110
111
1
1
0
0
1
1
1
1
01 electronica.qxd
11/5/09
11:44
Página 24
Unidad 1
24
10. Circuitos integrados digitales:
generalidades y tecnologías
1,6 KΩ
4 KΩ
2 KΩ
A
B
VCC
130 Ω
OUTPUT
800 Ω
1 KΩ GND
Figura 1.22. Esquema interno de
una puerta 7408.
Las puertas lógicas que acabamos de ver están incluidas dentro de unos dispositivos denominados Circuitos Integrados (C.I.) o, más comúnmente, chips. La figura 1.22 muestra el esquema interno de una puerta AND de 2 entradas, incluida
dentro del integrado TTL 7408.
Cada circuito integrado tiene un número variable de puertas, dependiendo del
número de entradas y salidas. El número de patillas o «pines» de cada circuito integrado de puertas lógicas viene a ser de 14. Cada patilla o terminal corresponde
a las entradas y salidas de cada puerta, más una patilla de +VCC (positivo de alimentación) y otra de GND (negativo de alimentación). El encapsulado más normal es el denominado DIL (Dual-IN-Line Package).
10.1. Escalas de integración de los circuitos integrados
La revolución electrónica, con la invención del transistor y su integración posterior, han revolucionado el panorama electrónico de las últimas décadas. Aquello
que hasta hace unos años nos resultaba increíble de creer es ahora mismo una realidad, y todo gracias a la capacidad de integración de los componentes digitales,
comenzando por las puertas lógicas. En los años sesenta apareció el circuito integrado (C.I.), un dispositivo que podía integrar simultáneamente un número determinado de puertas. En la actualidad, se pueden integrar cientos de miles de
puertas lógicas en una superficie similar a 1 cm2. Dependiendo del número de
operadores lógicos (puertas) que se encuentren integrados en un circuito se distinguen distintas escalas de integración:
Escala de integración
Significado
Capacidad de integración
Aplicaciones
SSI
Small Scale Integration
Hasta 10 puertas.
Puertas lógicas.
MSI
Medium Scale Integration
Ente 10 y 100 puertas.
Codificadores, multiplexores.
LSI
Large Scale Integration
Entre 100 y 1.000 puertas.
Calculadoras elementales o los
primeros microprocesadores de
los años 70 (8000 transistores).
VLSI
Very Large Scale Integration
De 1.000 a 10.000 puertas.
Dan inicio a la era de la miniaturización de los equipos.
ULSI
Ultra Large Scale Integration
De 10.000 a 100.000 puertas.
Microprocesadores y microcontroladores.
GLSI
Giga Large Scale Integration
Hasta 1.000.000 de puertas.
Microprocesadores y microcontroladores de última generación.
01 electronica.qxd
11/5/09
11:44
Página 25
Fundamentos de electrónica digital
25
10.2. Tecnologías de los circuitos integrados digitales.
Familias lógicas
Tecnología bipolar
El término bipolar es debido a que los circuitos electrónicos integrados se realizan con transistores NPN y PNP. Como tecnologías más representativas destacan
las siguientes (aunque algunas están obsoletas):
Nombre
Significado
RTL
Resistor Transistor Logic
Ya no se fabrican al ser la tecnología muy antigua.
DTL
Diode Transistor Logic
Ya no se fabrican al ser la tecnología muy antigua.
HTL
High Threshold Logic
Lógica de alta inmunidad al ruido. Se diseñó para aplicaciones industriales donde el ruido eléctrico es muy elevado. Es lenta y consume mucho. En la actualidad no se utiliza ya que con la tecnología CMOS se puede conseguir una alta inmunidad al ruido, con un consumo mucho más
bajo, mayor rapidez y precio más bajo.
TTL
Transistor Transistor Logic
Es la más popular y se caracteriza por su alta respuesta y por su alimentación característica de
5V. La más utilizada. A su vez se diferencia en subfamilias: TTL L, TTL H, TTL S, TTL LS, TTL Schottky.
ECL
Emisor Coupled Logic
Es la más rápida de todas. Se emplea en circuitos que requieran una alta velocidad de funcionamiento.
La velocidad de propagación es de 1 ns frente a la TTL de 10 ns.
lntegrated Interjection
Logic
Es la que mayor densidad de integración permite (en bipolar) llegándose a fabricar microprocesadores. Consume menos que la TTL, pero es más lenta. Es una tecnología bipolar para C.I. de
alta escala de integración.
IIL
Características de la tecnología bipolar
Tecnología MOS
Los circuitos electrónicos integrados se basan en transistores MOS. Las diferentes tecnologías más importantes utilizadas son:
Nombre
Características de la tecnología MOS
PMOS
Es la más antigua, hoy obsoleta. Se basa en los transistores MOS de canal P. Tiene alta densidad de integración, pero muy
lenta. El microprocesador 8080 de Intel se fabricó con esta tecnología
NMOS
Es más rápida que la PMOS. Es la tecnología empleada en los microprocesadores de 8 bits (6800 de Motorola, el 8085 de
Intel y el Z80 de Zilog). Es una tecnología normal en la fabricación de alta escala de integración(LSI) como de microprocesadores, memorias, interfaces, etc.
CMOS
Domina en el campo de los CI de escala de integración media (MSI), empleándose también en los LSI. Se caracteriza por
su bajo consumo y porque su alimentación varía de los 3V a los 15V.
HCMOS
Es una versión mejorada de la CMOS, que permite una alta velocidad de operación. También se la conoce como la CMOS
de alta velocidad. Los circuitos que son de tecnología HCMOS suelen llevar las siglas 74HC/HCT/HCU, y son compatibles
con los circuitos TTL.
HMOS
Es una tecnología avanzada MOS creada por Intel. Es de alta velocidad y gran densidad de integración. Es la tecnología de
los nuevos microprocesadores de los 8086, de 16 bits. Esta tecnología ha propiciado el paso a los circuitos de muy alta
escala de integración (VLSI) consiguiendo la integración de un millón de transistores en un chip.
01 electronica.qxd
11/5/09
11:44
Página 26
Unidad 1
26
10.3. Características de las puertas digitales integradas
Tensión de alimentación
Indica la tensión adecuada para el correcto funcionamiento del integrado. En
TTL, la tensión típica de alimentación es 5 V, y puede oscilar entre 4,75 V y
5,25 V. Fuera de esos márgenes, el fabricante no asegura el buen funcionamiento
del circuito. En circuitos con tecnología CMOS, el margen oscila entre 3 y 18 V.
Tensiones de entrada-salida
Especifica los niveles de tensión y corriente en las entradas y en la salida. Es necesario conocer estas características para una mejor utilización del circuito, sobre
todo cuando se hacen montajes con diferentes tecnologías, o hay que controlar
circuitos de salida con LED, transistores, triacs, etc.
Parámetros para las tensiones de entradas
Parámetros para la tensión de salida
VIH
Voltaje de entrada para el nivel alto.
El fabricante proporciona la tensión mínima que
garantiza el «1» en la entrada (VIHMin).
VOH
Voltaje de salida para el nivel alto.
El fabricante proporciona la tensión mínima que puede aparecer (VOHMin).
VIL
Voltaje de entrada para el nivel bajo.
El fabricante proporciona la tensión máxima que
garantiza el «0» en la entrada (VILMáx).
VOL
Voltaje de salida para el nivel bajo.
El fabricante proporciona la tensión máxima que puede aparecer. Idealmente 0 V (VOLMáx).
IIH
Intensidad de entrada cuando se le aplica el
nivel alto (1).
IOH
Intensidad de salida en el nivel alto (1).
IIL
Intensidad de entrada cuando se le aplica el
nivel bajo (0).
IOL
Intensidad de salida en el nivel bajo (0).
Fan-out
I1
Iomáx
I2
In
Figura 1.23. Fan-out en una puerta
NAND.
Es el número máximo de entradas que una salida puede activar, permaneciendo
los niveles dentro de los valores garantizados. El fan-out depende, por tanto, de
la corriente que puede dar la salida y de la corriente que absorben las entradas. La
suma de todas las corrientes de las entradas tiene que ser, como máximo, igual a
la máxima corriente que puede dar cada salida. Iomáx > n · Ii (I1 = I2 = …= In = Ii)
La familia lógica TTL tiene un fan-out de 10, mientras que la CMOS tiene un fanout de 50.
Tiempo de propagación medio
Es el tiempo que transcurre desde que la entrada de una puerta recibe una señal,
hasta que aparece en la salida el resultado de la entrada. Se mide en nanosegundos (ns). Podríamos resumirlo diciendo que es el tiempo que tarda en responder
una puerta. Una puerta no emplea el mismo tiempo para pasar de nivel alto a
bajo, que para pasar de bajo a alto, por lo que el tiempo medio es la media de los
anteriores.
01 electronica.qxd
11/5/09
11:44
Página 27
Fundamentos de electrónica digital
27
Frecuencia máxima de trabajo
Se mide en MHz. Es el límite máximo de frecuencia en la entrada sin que se produzcan errores en la salida. Está muy relacionada con la característica anterior, ya
que si una puerta tarda mucho en responder, tendrá poca frecuencia de trabajo.
En TTL suele ser de 35 MHz, y en CMOS de 1 MHz.
50 %
50 %
Señal de entrada
Señal de salida
50 %
tPHL
El concepto de ruido en los sistemas
digitales en general significa: perturbaciones transitorias indeseadas que
se producen en los niveles lógicos de
los circuitos, debido a causas internas o externas. Entre las diferentes
causas tenemos: (a) ruido eléctrico
ambiental generado por motores,
fluorescentes, etc., (b) ruido por la
alimentación y (c) ruido por acoplo
entre pistas cercanas.
tPHL
tPD
a
50 %
saber más
tPHL + tPLH
= ––––––––
2
Figura 1.24. Tiempo de propagación medio de una puerta inversora.
Ruido
Se mide en mV. Indica el valor máximo de tensión no deseada que se superpone
en la entrada de una puerta sin que afecte a la salida. En TTL suele ser de 250 mV.
En CMOS, del orden de 1/3 de la tensión de alimentación (Val).
Las señales de ruido distorsionan las formas de ondas de las señales digitales. Si la
magnitud del ruido es grande, se producen fallos en la información digital. Con
respecto al ruido eléctrico, en los sistemas digitales no se acumula cuando pasa de
un circuito a otro como sucede en los analógicos, de ahí que se vayan introduciendo las técnicas digitales en todas las aplicaciones que sea posible.
Disipación de potencia
Se mide en mW. Valora la potencia consumida por cada operador lógico. Se define la potencia media disipada por puerta (valor medio de la potencia disipada
en los estados «0» y «1»). Es importante saber que la potencia aumenta con la frecuencia de trabajo, ya que aparecen picos transitorios elevados de corriente en la
conmutación. En TTL es del orden de 2 a 10 mW (dependiendo de la subfamilia), mientras que en CMOS es del orden de nW.
Temperatura de trabajo
Es aquella para la cual el fabricante asegura un óptimo rendimiento y duración del
integrado. Lo normal son 25 °C, y el margen de buen funcionamiento oscila de
0 a 70 °C.
Conclusiones finales respecto de las características
Respecto a todas estas características, lo ideal sería tener un circuito integrado
con puertas que fueran lo más rápidas posible, que consumieran poca energía, con
gran margen de alimentación, con gran margen de inmunidad frente al ruido y variaciones de temperatura, y que fueran de bajo coste. Esto, como se supondrá, es
imposible, de manera que los fabricantes llegan a un compromiso entre la velocidad, el consumo y el coste, realizando distintas tecnologías de fabricación, que suponen distintas familias de circuitos integrados, teniendo cada una sus campos de
aplicación determinados.
saber más
Existe una familia TTL que comienza por el número 54. Tiene los mismos circuitos que la serie 74 y coincide también el patillaje. La diferencia es que la serie 54 es la versión
militar e industrial (aeroespacial) de
la serie 74. Esto lleva consigo que las
especificaciones sean superiores. Por
ejemplo, el margen de temperatura
oscila entre: -55 °C y 125 °C. El margen de tensión de alimentación
aumenta, desde 4,5 V a 5,5 V.
01 electronica.qxd
11/5/09
11:44
Página 28
Unidad 1
28
ACTIVIDADES FINALES
1. Expresa el número 23 de base decimal (base diez) a binario (base dos).
2. Convierte el número 280 de base decimal a base hexadecimal (base dieciséis).
3. Pasa el número binario 1001010 a base decimal.
4. Indica que número decimal se corresponde con el número hexadecimal F14D.
5. Con ayuda del entrenador digital que tengas en el aula-taller, comprueba el funcionamiento de los siguientes integrados, realizando sus tablas de verdad: 7400, 7402, 7404, 7408, 7432 y 7486.
6. Implementa con puertas lógicas la siguiente función, realizando previamente su tabla de verdad:
–
–
f = a · b + a · c + a– · b
7. Aplica las propiedades y teoremas adecuados del álgebra de Boole, para simplificar las siguientes funciones:
–
a) f = (a · 0) · (b + b) + (b + b) + (a · a) + (b + 1) · (c · –c)
b) f = (b + 1) · a · a– + a + c · c + b · 0 + c
c) f = a– · b · –c + b · c · (c– + 1) + a · b · –c · (a + a–)
–
–
d) f = a · b + a · b · c + a · b · c + a · b
–
–
–
e) f = a · b · –c + a · b · –c · d + a · b
f) f = (a · –c + c) · (a––
+ c) · (b · c + a + a–)
8. Aplica las leyes de Morgan en los siguientes casos:
––
––
– –
b) f = (b + c ) · ( c + b + a)
––––––––––––––––––
a) f = a– · c + a · b · –c + c
–
–
9. Con ayuda de las propiedades del álgebra de Boole, simplifica la función f = a · b + a · c + a– · b, y comprueba que el resultado final es el mismo que sin simplificar.
10. Obtén la ecuación en minitérminos (minterms) y maxitérminos (maxterms) de la
función definida en la siguiente tabla de verdad:
11. Dada las siguientes tablas de verdad, debes obtener la ecuación más simplificada
de las funciones f1 y f2 ayudándote del método de Karnaugh:
ACTIVIDAD (11)
abc
f1
abc
f2
000
001
010
011
100
101
110
111
1
1
0
0
1
1
1
1
000
001
010
011
100
101
110
111
X
1
0
1
0
1
0
X
ACTIVIDAD (10)
abc
f1
000
001
010
011
100
101
110
111
1
0
0
0
0
1
1
0
–
–
12. Dada la función f = a · b · c + a– · b · –c + a · b · –c + a · b · c, realiza su tabla de verdad y simplifícala mediante Karnaugh.
01 electronica.qxd
11/5/09
11:44
Página 29
Fundamentos de electrónica digital
29
13. Simplifica mediante Karnaugh la función f expresada en minitérminos: f =
∑ (1, 2, 3, 5, 6, 7)
3
14. Halla la expresión que queda al simplificar la siguiente función: f =
∑ (0,1, 4, 5, 8,10,11,12)
4
15. Diseña un automatismo con puertas NOR que gobierne una máquina M desde tres interruptores A, B,
y C, de forma que se active M siempre que A y B esté pulsados y también si A está pulsado y los otros
dos no.
16. Un piloto de señalización de alarma «debería estar encendido», siempre que ocurra cualquiera de las siguientes situaciones:
a) Cuando el sensor situado en un punto A está desactivado, el sensor B activado y el C en cualquier posición.
b) Cuando los sensores A y B están desactivados y el sensor C activado.
c) Cuando todos los sensores están activados.
d) En todas las demás combinaciones la luz deberá permanecer apagada.
Simplifica la función mediante el método de Karnaugh y obtén el circuito con puertas NAND.
–
17. Dibuja el circuito correspondiente a la función f = a– · b · –c + a · c + a · b, así como su tabla de verdad. Realiza el dibujo en puertas del sistema ANSI y del sistema DIN.
18. Un circuito posee cuatro entradas a, b, c y d. El circuito responderá con un 0 cuando las entradas a y d
sean 1 o cuando las entradas b y c valgan 1. Por razones de prioridad, las combinaciones a = 1, b = 1, c = 0,
d = 1 y a = 1, b = 1, c = 1, d = 1 serán indiferentes.
19. Un sistema de alarma está constituido por cuatro detectores denominados a,
b, c y d. El sistema debe activarse cuando se activen tres o cuatro detectores,
si solo lo hacen dos detectores, es indiferente la activación o no del sistema.
Por último, el sistema nunca debe activarse si se dispara un solo detector o
ninguno. Por razones de seguridad, el sistema se deberá activar si a = 1, b = 0,
c = 0 y d = 0.
20. Diseñar un circuito combinacional al que le llega una información en binario
que representa un dígito decimal. El sistema ha de ser capaz de seleccionar
los diodos LED necesarios para la representación en un display del número que llega.
a
b
f
g
e
c
d
a
Figura 1.25.
entra en internet
21. Busca en Internet información sobre C.I. con puertas lógicas con tecnología TTL, lo puedes encontrar en:
http://www.esi.uclm.es/www/isanchez/teco/puertas.htm
http://electronred.iespana.es/ptas_log.htm ; http://torio.unileon.es/~dierar/etc/pdf/digital1.pdf
22. Investiga en Internet ejemplos prácticos de circuitos lógicos. Puedes encontrar información en:
http://www.fortunecity.es/felices/barcelona/146/3ds/digital/eldigital04.html
01 electronica.qxd
11/5/09
11:44
Página 30
Unidad 1
30
PRÁCTICA PROFESIONAL
EQUIPOS
• Polímetro digital
• Generador de funciones
• Fuente de alimentación
HERRAMIENTAS
Montaje de un circuito con puertas
lógicas y comprobación
de la función simplificada
• Alicates planos y pinzas
OBJETIVOS
• Tijeras de electricista
• Montar el circuito correspondiente a una función lógica de tres variables en una
placa de inserción de componentes y en un entrenador de electrónica.
• Útil pelacables
MATERIAL
• Placa de inserción de componentes
• C.I. 7404, 7408, 7432
• Distinguir los distintos tipos de C.I. que son necesarios según la función a
implementar.
• Verificar el correcto funcionamiento del circuito, comprobando la tabla de
verdad.
• Comprobar que la función inicial se puede simplificar, obteniendo otra más
sencilla y con el mismo resultado.
PRECAUCIONES
Tener cuidado de no doblar o dañar alguna
patilla al colocar los C.I. en la placa y verificar el patillaje.
Comprobar el valor correcto de la tensión
de alimentación para los integrados que se
utilizarán (familia TTL), así como las patillas
en donde se conecta.
(En www.editex.es, ficha del libro, puedes
descargar la hoja de características del fabricante).
Figura 1.26. Entrenador con placa de inserción de componentes y medida de tensión de 5 V para TTL.
a
DESARROLLO
1. La función que vamos
obedece a la expresión:
– a implementar
–
f = a · b + a · c + a– · b · c + a · b
Lo primero que hacemos es dibujar el esquema eléctrico de
montaje que corresponde a la expresión de la función. Después
buscamos los C.I. digitales que nos permitan llevar a cabo el
montaje. En este caso, elegimos C.I. del tipo TTL, y a la vista del
esquema, vemos que necesitamos integrados con puertas OR,
AND y NOT. Obtenemos la información que necesitamos: de los
integrados 7404(1), 7408(2) y 7432(1). Para montar el circuito
en la placa de inserción de componentes, hay que tener a mano
tanto el esquema del circuito, como el patillaje de cada integrado, para conectar cada cable en el lugar correcto.
a
b
c
S
a
Figura 1.27. Esquema de la función lógica.
Para las entradas de señal nos serviremos de los conmutadores del entrenador de electrónica, que llevan a «0» o
«1» cada entrada, pudiendo así realizar todas las combinaciones de entrada necesarias. La salida de la función
disponible en la patilla correspondiente del C.I. 7432, se llevará también a un LED disponible en el citado entrenador de manera que cuando la salida sea «1» el LED permanece encendido, y apagado en caso contrario.
01 electronica.qxd
11/5/09
11:44
Página 31
Fundamentos de electrónica digital
a
Figura 1.28 Integrados utilizados en la práctica.
31
a
Figura 1.29. Conmutadores de entrada y visualizadores de salida.
Para comprobar el correcto funcionamiento del circuito, extraemos la tabla de verdad de la función.
2. Una vez comprobado que el montaje funciona en todas las combinaciones de la tabla de verdad, procedemos a simplificar la función mediante el método de Karnaugh aprendido en –la presente unidad. El resultado
que obtenemos es una función bastante más sencilla que la inicial: f = a + b · c. Solo nos queda montar el
nuevo circuito y comprobar si es cierto que la función se puede simplificar sin variar el resultado.
a
TABLA DE VERDAD
abc
000
001
010
011
100
101
110
111
b
c
f
0
1
0
0
1
1
1
1
s
a
Figura 1.30. Montaje del circuito.
a
Figura 1.31. Circuito simplificado.
3. Para empezar, ya vemos que el hecho de simplificar nos ha proporcionado un circuito bastante más simple.
Necesitaremos un C.I. integrado menos, y los que tenemos que conectar apenas llevan conexiones. Una vez
montado, comprobamos la tabla de verdad, y observamos que el resultado práctico final es el mismo que
con la función sin simplificar, quedando demostrada la simplificación por mapa de Karnaugh.
a Figura
1.32. Montaje del nuevo circuito de la función simplificada.
Figura 1.33. Detalle del montaje de la función simplificada.
a
01 electronica.qxd
11/5/09
11:44
Página 32
Unidad 1
32
MUNDO TÉCNICO
EN
La sonda lógica
Una sonda lógica (Logic Probe) es un instrumento utilizado en electrónica digital para determinar el nivel lógico en los
distintos puntos de un circuito. Se alimenta a partir de la propia tensión de alimentación del circuito con el que se trabaja, para ello dispone de dos pinzas de cocodrilo, una de color rojo que deberá conectarse al positivo y otra de color negro
que irá al negativo. Consta de una punta metálica que se pondrá en contacto con el punto del circuito digital cuyo nivel
se desea conocer. El nivel lógico se suele indicar mediante LED de distinto color, generalmente rojo para el nivel alto y verde para el nivel bajo. Algunos modelos disponen además de dos tonos acústicos diferenciados para cada nivel.
Extraído de Wikipedia (http://es.wikipedia.org/wiki/Sonda_l%C3%B3gica)
LOGIC PROBE MAPLIN FY73Q (http://www.maplin.co.uk/module.aspx?moduleno=5671)
Características
Es una sonda lógica de alta calidad para su uso con circuitos integrados TTL y CMOS. La sonda tiene una función de memoria que puede detectar la aparición de pulsos simples. Una señal con una tasa baja de repetición de pulsos estrechos, que son casi imposibles de ver, son
fácilmente detectables y visibles con esta sonda. La sonda dispone de tres LED, uno rojo para
niveles lógicos altos, uno verde para niveles lógicos bajos y uno de color amarillo para la detección de pulsos. La intensidad del LED de pulsos es directamente proporcional a la del ciclo de
la señal observada. Es capaz de reconocer pulsos simples y señales de pulsos de duración entre 30 ns y 500 ms. Para su uso, el terminal de color rojo se debe conectar al positivo del circuito bajo prueba, y el negro al negativo. Al realizar la conexión, el LED amarillo puede parpadear una o dos veces, pero si continúa parpadeando indica que la alimentación es excesiva. Con
el interruptor en posición TTL deben utilizarse +5 V y en la posición de CMOS la tensión puede estar comprendida entre 3 V y 18 V de corriente continua.
Especificaciones técnicas
• Detector de pulso. Tren de pulsos o pulsos simples de duración 500 ms. Conmutador posicionado en memoria (MEM).
Figura 1.34. Sonda
lógica.
a
• Niveles de detección. LED Rojo (HI): TTL 2.3V ± 0.2V, CMOS 70% ± 10% Vcc. LED Verde
(LO): TTL 0.8V ± 0.2V, CMOS 30% ± 10% Vcc
• Mínimo ancho de pulso detectable: 30 ns.
• Impedancia de entrada:> 1 MΩ.
• Respuesta en frecuencia: 0-17 MHz.
• Entrada sobrecarga.
• Protección de sobretensión de entrada: ± 220 V DC / AC para <15 s.
Actividades
1. Obtén la tabla de verdad mediante mediciones con las sonda lógica de las puertas: NAND (C.I. 7400), NOR
(C.I. 7402), NOT (C.I. 7404), AND (C.I. 7408), OR (C.I. 7432) y OR-exclusiva (C.I. 7486).
2. Monta en una placa de pruebas (board) los circuitos de las actividades 15 y 16 y verifica su funcionamiento
utilizando una sonda lógica. Obtén la tabla de verdad de los circuitos, realizando las medidas oportunas con
la sonda y compárala con la obtenida de forma teórica.
EV
01 electronica.qxd
11/5/09
11:44
Página 33
Fundamentos de electrónica digital
33
EN RESUMEN
SISTEMAS DIGITALES
PUERTAS LÓGICAS
SISTEMAS DE NUMERACIÓN
(Álgebra de Boole)
NOT
a
OR
a
a
AND
s
b
BINARIO
DECIMAL
b
NOR
s a
b
s
NAND
XOR
a
a
b
s
s
b
HEXADECIMAL
FAMILIAS
Códigos binarios
BINARIO
NATURAL
a
BCD
GRAY
TTL
TABLA DE
VERDAD
CMOS
• Natural
• Aiken
• Exceso 3
Simplificación
KARNAUGH
Códigos Alfanuméricos: ASCII
REALIZACIÓN DEL CIRCUITO PRÁCTICO
EVALÚA TUS CONOCIMIENTOS
1. ¿Cuántos niveles existen en electrónica digital?
a) Infinitos.
b) Dos.
c) Depende del valor de la tensión.
d) Ninguno.
2. ¿Qué sistema de numeración se utiliza en circuitos digitales?
a) Binario.
b) Decimal.
c) Centesimal.
d) Vigesimal.
3. Los teoremas de De Morgan tienen como aplicación:
a) Verificar que se cumple la tabla de verdad.
b) Simplificar el circuito reduciendo el número de puertas.
c) Transformar sumas lógicas en productos y viceversa.
d) Ver si la función va a tener un nivel lógico en la salida.
4. El sistema hexadecimal utiliza como base:
a) 16.
b) 6.
c) 15.
d) 8.
5. La tabla de verdad de un sistema de tres entradas
tiene:
a) 2 combinaciones.
b) 8 combinaciones.
c) 16 combinaciones. d) 3 combinaciones.
6. El parámetro conocido como fan-out indica:
a) Todos los posibles estados lógicos a la salida.
b) La cantidad máxima de cambios por segundo en la
salida.
c) El número máximo de puertas que se pueden conectar a la salida de otra puerta.
d) La potencia del ventilador (fan) del circuito.