Download 2.3 criterios para el desarrollo del curso

Document related concepts
Transcript
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PÁGINA: 1 de
13
FECHA: 03/03/2014
VERSIÓN: 01
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
VIGENCIA: 2014
1. UBICACIÓN CURRICULAR DEL CURSO
PROGRAMA ACADÉMICO: INGENIERÍA ELECTRÓNICA
ESCUELA O DEPARTAMENTO: INGENIERÍA
FACULTAD: CIENCIAS BÁSICAS E INGENIERÍA.
DENOMINACIÓN DEL CURSO
CÓDIGO INSTITUCIONAL
ÁREA
CIRCUITOS DIGITALES I
612202
PROFESIONAL
TIPO DE PERÍODO ACADÉMICO
(Marque con una “X”)
Semestral (18 semanas)
Cuatrimestral (12 semanas)
Trimestral (9 semanas)
X
CRÉDITOS
ACADÉMICOS DEL
CURSO
RELACIÓN DEL
CRÉDITO
ACADÉMICO
HORAS DE TRABAJO
CON ACOMPAÑAMIENTO
DIRECTO
HORAS DE
TRABAJO
INDEPENDIENTE
4
1:1
6
6
2. DESCRIPCIÓN DEL DISEÑO DE CURSO
2.1 INTRODUCCIÓN
El curso de circuitos digitales I trata acerca del diseño de circuitos lógicos a partir de los cuales se
construyen estructuras combinaciones y secuenciales las cuales serán parte integral de dispositivos de
uso común como contadores, reproductores MP3 y máquinas de estado entre otros, los mismos serán
diseñados utilizando herramientas CAD y lenguaje VHDL.
2.2 JUSTIFICACIÓN
La asignatura de Circuitos Digitales I es de especial importancia en la formación profesional del
estudiante de Ingeniería Electrónica, ya que en los sistemas digitales la información se representa en
forma discreta (cero lógico y uno lógico) teniendo como base el Álgebra de Boole y esta a su vez es el
punto de partida para el análisis y optimización de los circuitos combinacionales. Por esta razón el curso
inicia con el estudio del sistema de numeración binario y el Álgebra de Boole, para finalizar con la
utilización de Lógica reconfigurable y la Lógica secuencial.
2.3 CRITERIOS PARA EL DESARROLLO DEL CURSO
La asistencia y puntualidad como primeras norma para un trabajo con acompañamiento directo en las
proporciones de tiempo adecuadas. El respeto entre los integrantes del grupo. Bases estas de un trabajo
riguroso. Esto con el fin de:
•
Legitimar las discusiones abiertas y la búsqueda de respuestas dentro de un trabajo respetuoso
de las otras posiciones y opiniones.
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
PÁGINA: 2 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
•
Diseñar actividades para que su cumplimiento pueda ser realizado con éxito por alumnos con
diversos intereses y niveles.
•
Fomentar las actividades de escritura como forma de revisar y explicitar los conocimientos
adquiridos, así como también de evaluar la pertinencia de propuesta.
2.4 METODOLOGÍA GENERAL DE DESARROLLO DEL CURSO
El dictado de clases se organiza en tres clases de 2 hs, la primera parte dedicada a la presentación de
los contenidos a cargo del Profesor, la otra a la resolución de problemas. Se incluye además dos horas
de tutoría dedicada a consultas.
La metodología buscará la compresión de los contenidos presentados por el profesor mediante un
Aprendizaje Basado en Problemas, donde los problemas no se contemplarán solo como cuestiones a
resolver sino como desencadenantes de la discusión. El trabajo independiente incluye investigación y
ejercicios, pensados de manera que en conjunto configuren una estructura de aprendizaje integrada y
coherente que construya los conocimientos, las destrezas y las actitudes de un modo gradual y
progresivo.
2.5 PROPÓSITO(S)
Comprender los principios fundamentales del diseño de sistemas digitales
Comprender los fundamentos del Álgebra Booleana, necesarios para el análisis y diseño de sistemas
digitales
Comprender las diferentes técnicas de síntesis, simplificación e implementación de sistemas
combinacionales
Analizar, diseñar e implementar sistemas digitales de baja y mediana complejidad
Comprender los diferentes dispositivos lógicos reconfigurables.
Comprender las características internas de los diferentes circuitos integrados utilizados en la electrónica
digital.
2.6 OBJETIVO(S)
Dominar los fundamentos del algebra de boole y las diferentes técnicas de simplificación de circuitos
digitales.
Diseñar Circuitos combinacionales usando herramientas CAD y lenguaje VHDL.
Diseñar Circuitos Secuenciales usando herramientas CAD y lenguaje VHDL.
2.7 COMPETENCIAS Y UNIDADES TEMÁTICAS O PROBLEMAS DE CONOCIMIENTO
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
PÁGINA: 3 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
COMPETENCIA DEL CURSO
El curso desarrolla en el estudiante habilidades y destrezas en el análisis, diseño e
implementación de soluciones óptimas utilizando circuitos tanto combinacionales, secuenciales
y técnicas de descripción de hardware.
DIMENSIONES DE LA COMPETENCIA QUE DESARROLLA
COGNITIVA
Comprensión de los
conceptos
matemáticos de los
sistemas numéricos
que permiten explicar
el funcionamiento y
operación de los
sistemas digitales.
Generalización de
las reglas y
propiedades del
álgebra de boole
para la simplificación
de expresiones
lógicas.
Comprensión
del
funcionamiento de
los dispositivos que
conforman
los
sistemas digitales
combinacionales y
secuenciales.
Comprensión de los
fundamentos,
propiedades
y
características
operativas de las
familias
lógicas
digitales.
Comprensión
del
funcionamiento
de
los dispositivos de
lógica reconfigurable.
PRAXIOLÓGICA
ACTITUDINAL
COMUNICATIVA
Disposición para el
trabajo en equipo
El estudiante se inicia
en la correcta utilización
y manejo del lenguaje
propio de los circuitos
digitales,
específicamente el RTL
(Register Transfer
Language) y los
lenguajes HDL
(Hardware Description
Language)
Dominio en la
representación de
códigos binarios.
Manipulación y
operación de circuitos
lógicos
combinacionales
Manipulación
y
operación de circuitos
secuenciales
con
dispositivos digitales
del tipo flip-flop
Dominio, identificación y
explicación
de
las
diferentes
características de los
dispositivos
reconfigurables y su
utilización en la
construcción de
soluciones
combinacionales de
gran integración.
Iniciativa para el
planteamiento de
soluciones tecnológicas
Valoración y
compromiso social y
ambiental.
PROGRAMACIÓN
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
UNIDAD
TEMÁTICA O
PROBLEMA DE
CONOCIMIENTO*
SEMANAS
1. Sistema de
numeración binario,
operaciones y
códigos.
1
CONTENIDOS
TEMÁTICOS
1.1 Sistema binario.
1.2 Números binarios
con y sin signo.
1.3
Operaciones
aritméticas
de
números binarios con
y sin signo.
PÁGINA: 4 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
ACTIVIDAD
HTAD
HTI
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría.
6
6
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
6
6
1.4 Representación
de números en punto
flotante.
1.5 Operaciones
aritméticas
con
números en punto
flotante.
2. Fundamentos de
circuitos lógicos.
1
2.1 Ecuaciones
booleanas en
producto de sumas y
suma de productos.
2.2Implementación
de funciones con
compuertas lógicas.
2.3 Ejemplos de
diseño de circuitos
en suma de
productos o
productos de suma.
2.4 Diseño de
circuitos
combinacionales
básicos usando
herramientas CAD.
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PÁGINA: 5 de
13
FECHA: 03/03/2014
VERSIÓN: 01
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
3. Simplificaciónde
circuitos lógicos.
1
VIGENCIA: 2014
3.1 Formas suma de
productos
(minterminos)
y
producto de sumas
(maxterminos).
3.2
Mapas
de
Karnaugh
para
funciones lógicas de 5
y 6 variables.
3.3 Condiciones de no
importa.
3.4 Algoritmo de
Quine-Mccluskeyn o
método de tabulado
para seis variables en
adelante.
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
6
6
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
4. Circuitos lógicos
Combinatorios
2
4.1 Decodificadores
binarios de n líneas.
4.2 Decodificadores
BCD a 7 segmentos.
4.3 Registros de 3
estados.
4.4 Codificadores.
4.5 Multiplexores y
demultiplexores.
4.6 Generadores de
paridad.
4.7 Comparadores.
4.8 Circuitos
aritméticos.
4.9 Sumador y
restador de 4 bits.
4.10 Sumador BCD.
4.11 Unidad
aritmética y lógica
ALU.
4.12 Multiplicador
combinatorio.
4.13 Diseño, síntesis
implementación de
circuitos utilizando
descripción de
hardware (VHDL).
PÁGINA: 6 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
12
12
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
5. Dispositivos
Lógicos
Programables.
1
5.1 Diseño lógico hoy
día.
5.2 Herramientas
computacionales
utilizadas en las
metodologías de
diseño descendente
(top/down)
Nota: Este capítulo se
debe integrar a todo
el desarrollo del
curso.
PÁGINA: 7 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
6
6
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
6
6
5.3 Herramientas
para la
automatización del
diseño electrónico
(EDA tools).
5.4 Principios y
aplicaciones de los
dispositivos lógicos.
programables como
los PALs y los PLDs.
5.5 Programación en
lenguaje HDL.
6.Cerrojos y
flipflop.
1
6.1 Definición de la
lógica secuencial.
6.2 Cerrojos con
compuertas.
6.3 Estado
metaestable.
6.4 Interruptor sin
rebote.
6.5 Flip-Flops.
6.6 Flip-Flops
construidos con
compuertas de
transmisión e
inversores.
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
7.Contadores
registros
y
2
7.1 Contadores de
propagación.
7.2 Contadores
sincrónicos.
7.3 Ejemplos de
contadores en circuito
integrado.
PÁGINA: 8 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
12
12
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
laboratorio.
12
12
Clase
magistral,
sesión de
ejercicios,
lecturas y
asignaciones
para la casa,
tutoría
6
6
7.4 Registros de
corrimiento.
7.5 Contador en
anillo.
7.6 Circuitos
integrados para
relojes.
8. Análisis y
Diseño de
Circuitos
Secuenciales
2
8.1 Teoría de
máquinas de estado
(FSM).
8.2 Análisis y diseño
de circuitos
secuenciales
sincrónicos.
8.3 Análisis de
circuitos secuenciales
asincrónicos.
8.4 Ejemplos de
Control Secuencial
9.PLDs
Secuenciales.
1
9.1 Arquitectura de
diversos PLDs
secuenciales.
9.2 Ejemplos de
programación.
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
PÁGINA: 9 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
laboratorio.
10.Memorias.
2
10.1 Aspectos Generales sobre
Memorias.
10.2 Memorias de Acceso
Aleatorio.
10.3 Memorias de solo Lectura
(ROM).
Clase magistral,
sesión de
ejercicios, lecturas y
asignaciones para la
casa, tutoría
laboratorio.
12
Clase magistral,
sesión de
ejercicios, lecturas y
asignaciones para la
casa, tutoría
laboratorio.
12
10.4 Aplicaciones de las
Memorias.
10.5 Memorias comerciales.
11.Procesadores
digitales.
2
11.1 Configuración.
11.2 Arquitectura de un
microcomputador.
11.3 Decodificadores.
11.4 Puertos.
11.5 Memorias.
11.6 Instrucciones en lenguaje
ensamblador.
11.7Microcontrolador es
* Para uso exclusivo del Comité de Programa
ORGANIZACIÓN DEL TRABAJO INDEPENDIENTE
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
ACCIONES Y FUENTES
PÁGINA: 10 de
13
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
1.
Lecturas e interpretaciones de materiales de interés.
2.
Determinación de las ideas esenciales de un texto y de las preguntas
que sobre lo leído puedan hacer al profesor y demás estudiantes del grupo.
3.
Comparación de conceptos, datos, criterios o postulados teóricos
importantes de la asignatura. 4. Informe crítico de lecturas ya realizadas.
5. Presentación de resultados de tareas orientadas con
anterioridad o experimentos realizados.
6.
Debates sobre cuestiones de carácter científico de la actualidad.
7.
Solución de problemas.
8.
Elaboración de cuadros resúmenes.
9.
Rendición de cuentas de resultados del grupo.
10.
Exposición de trabajos científicos estudiantiles.
11.
Autoevaluación del proceso de aprendizaje.
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PÁGINA: 11 de
13
FECHA: 03/03/2014
VERSIÓN: 01
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
VIGENCIA: 2014
CONDICIONES DE
FONDO
TIPO DE INFORME
Texto académico científico
ELEMENTOS DE
FONDO
CONDICIONES DE
FORMA
ELEMENTOS
FORMALES
Seguir el esquema básico y sencillo
compuesto de tres partes
fundamentales, aunque no
necesariamente explícitas:
introducción, desarrollo y conclusión.
se incluye portada introducción, (a
veces también objetivos como una
sección independiente), materiales y
métodos, resultados, discusión
(resultados y discusión pueden
combinarse en una sección),
conclusiones y referencias
bibliográficas
SEGUIMIENTO
EVALUACIÓN
CRITERIOS DE
SEGUIMIENTO
(Tipo de seguimiento,
alcance de las
evidencias, instancias
de ayuda)
CRITERIOS DE
EVALUACIÓN
J
2.8 ESTRATEGIA(S) DE ENSEÑANZA
Revisar informe individual o por
equipos
Revisar informe escrito de la solución
del ejercicio en Clase práctica
Preguntas de control en clases en
Conferencia
Pregunta escrita Clase Práctica
basada en conocimientos de
trabajo Quizes
Actualización del diagnóstico
individual de cada estudiante y
análisis en el colectivo.
Análisis de propuesta de cualidades
en las que debemos trabajar con los
estudiantes.
Colectivismo.
Atención y memoria profunda.
Vocabulario rico y fluido.
Flexibilidad, profundidad y
amplitud del pensamiento.
Dominio del vocabulario
especializado.
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
PÁGINA: 10 de
11
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
• El Circuitos digitales I se desarrolla por medio de unidades de enseñanza - aprendizaje del proceso
de formación del estudiante, con la articulación de problemas, conocimientos, valores, habilidades y
prácticas relacionadas con las temáticas que para tal propósito se han definido. El curso es
teóricopráctico, implicando actividades académicas presenciales e independientes por parte del
estudiante.
• Para el desarrollo del curso se plantea desarrollar las estrategias de formación correspondientes a:
• Cátedras: Orientadas al conocimiento, la comprensión de metodologías principios y problemas de un
campo de conocimiento y práctica profesional, mediante procesos de recepción activos, en donde el
estudiante realice constantes y variadas operaciones mentales al intercomunicarse con los contenidos
y formas de expresión que se desarrollan en una conferencia magistral, permitiéndose la participación
del estudiante con preguntas.
• Talleres: Como estrategia formativa cuyas unidades de aprendizaje son de tipo práctico donde
predominan o requieren actividades de resolución de ejercicios, elaboración de diseños y el uso de
software especializado.
• Laboratorios: Se desarrollan actividades en donde se requiere de material e instrumental especializado
y donde la actividad predominante es la experimentación y la verificación de hipótesis según temas de
estudio.
• Sistema Tutorial: Está dirigido a mejorar la formación y calidad de los estudiantes, induciéndolo a sumir
el compromiso y responsabilidad personal de adquirir la disciplina necesaria para el auto aprendizaje
y el desarrollo de su capacidad de “aprender a aprender” y “aprender a comprender”.
• Lecturas: Para cada tema el profesor indicará la lectura de material bibliográfico específico, que permita
complementar las clases teóricas del curso.
• Para el desarrollo del curso se plantea utilizar las estrategias de: Mapas conceptuales, evaluación
escrita, Evaluación grupal, Laboratorios, Estudios de caso, Informes, Proyectos, Ensayos, Métodos
de Solución de problemas, Ejercicios de simulación y Sustentación.
2.9 PROCESO DE EVALUACIÓN
Las pruebas consideradas en el curso para evaluar las competencias son: Prueba oral, Prueba escrita,
pruebas apoyadas en guías de observación, escalas de actitudes, cuestionarios, informes de laboratorio,
y finalmente las pruebas basadas en el análisis y verificación de la actuación real o simulada y en la
apreciación de la calidad de productos terminados. Estos tipos de pruebas, se clasifican como:
PARCIALES, evalúan el desarrollo progresivo del estudiante durante el semestre y FINALES que
evalúan el desarrollo de las competencias propuestas por el curso, al final del periodo académico.
Dos parciales escritos universales e individuales………………………………………. ……30%
Trabajo en clase e independiente…………………………………………………….………….5%
Laboratorio………………………………………………………………………………………....25%
Proyecto final………………………………………………………………………………………20%
Examen Final………………………………………………………………………………………20%
CÓDIGO: FO-DOC-81
UNIVERSIDAD DE LOS LLANOS
PROCESO DOCENCIA
FORMATO DISEÑO DE CURSO PROGRAMAS
PRESENCIALES
PÁGINA: 11 de
11
FECHA: 03/03/2014
VERSIÓN: 01
VIGENCIA: 2014
2.10 EVALUACIÓN DEL PROFESOR
Apreciado estudiante: con el objeto de mejorar continuamente nuestros procesos académicos, le
solicitamos diligenciar la evaluación del profesor que se encuentra en el Sistema de Información las
fechas establecidas por la Institución.
2.11 BIBLIOGRAFÍA Y WEBGRAFÍA
FLOYD, Thomas L. Fundamentos de sistemas digitales, Prentice Hall, 7ed.
TOCCI. Sistemas Digitales principios y aplicaciones, Prentice Hall, 6ed.
WAKERLY. Diseño digital principios y prácticas, Prentice Hall.
MANO. Diseño digital, Prentice Hall
TOKHEIM, ROGER. Principios digital, Prentice Hall
NELSON, VICTOR P. Análisis y diseño de circuitos lógicos digitales, Prentice Hall
Maxinez, David G. El arte de programar sistemas digitales.
BROWN S. Fundamentals of Digital logic with VHDL Design, McGraw Hill, 2000.
Hwang, Enoch O. Microprocessor Design, Principles and Practices With VHDL, Brooks / Cole
2004
Pedroni, Volnei A. Ciruit design with VHDL, MIT Press, Cambridge Massachusetts, London,
England, 2004.
2.12 PERFIL DEL PROFESOR
Docente Ingeniero Electrónico, con experiencia en el diseño de sistemas digitales basados en circuitos
integrados y dispositivos reconfigurables.
2.13 DATOS DEL PROFESOR
Ing. Cesar Augusto Romero Molano Ingeniero electrónico egresado de la universidad autónoma de
Colombia, especialista en redes de datos egresado de la universidad Santo Tomas, amplia experiencia
en diseño de circuitos digitales usando VHDL. Correo electrónico [email protected]